TY - JOUR AU - Greene, J. AU - Hamdy, E. AU - Beal, S. PY - 1993 DA - 1993// TI - Antifuse field programmable gate arrays JO - Proceedings of the IEEE VL - 81 UR - https://doi.org/10.1109/5.231343 DO - 10.1109/5.231343 ID - Greene1993 ER - TY - STD TI - Actel Corporation : Programming Antifuse Devices Application Note. Actel, Mountain View, Calif, USA, 2005, http://www.actel.com UR - http://www.actel.com ID - ref2 ER - TY - CHAP AU - Lu, G. AU - Singh, H. AU - Lee, M. AU - Bagherzadeh, N. AU - Kurdahi, F. J. AU - Filho, E. M. C. PY - 1999 DA - 1999// TI - The morphoSys parallel reconfigurable system BT - Proceedings of 5th International Euro-Par Conference on Parallel Processing (Euro-Par '99), August- ID - Lu1999 ER - TY - CHAP AU - Kuzmanov, G. AU - Gaydadjiev, G. AU - Vassiliadis, S. PY - 2004 DA - 2004// TI - The MOLEN processor prototype BT - Proceedings of 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '04) UR - https://doi.org/10.1109/FCCM.2004.55 DO - 10.1109/FCCM.2004.55 ID - Kuzmanov2004 ER - TY - JOUR AU - Pramanik, D. AU - Kamberian, H. AU - Progler, C. AU - Sanie, M. AU - Pinto, D. PY - 2003 DA - 2003// TI - Cost effective strategies for ASIC masks JO - Cost and Performance in Integrated Circuit Creation VL - 5043 UR - https://doi.org/10.1117/12.485280 DO - 10.1117/12.485280 ID - Pramanik2003 ER - TY - CHAP AU - Actel Corporation PY - 2005 DA - 2005// TI - Flash FPGAs in the value-based market white paper BT - Tech. Rep. 55900021-0 PB - Actel CY - Mountain View, Calif, USA ID - Actel Corporation2005 ER - TY - JOUR AU - Moyer, B. PY - 2001 DA - 2001// TI - Low-power design for embedded processors JO - Proceedings of the IEEE VL - 89 UR - https://doi.org/10.1109/5.964439 DO - 10.1109/5.964439 ID - Moyer2001 ER - TY - CHAP AU - Abnous, A. AU - Seno, K. AU - Ichikawa, Y. AU - Wan, M. AU - Rabaey, J. PY - 1998 DA - 1998// TI - Evaluation of a low-power reconfigurable DSP architecture BT - Proceedings of the 5th Reconfigurable Architectures Workshop (RAW '98) ID - Abnous1998 ER - TY - JOUR AU - Mencer, O. AU - Morf, M. AU - Flynn, M. J. PY - 1998 DA - 1998// TI - Hardware software tri-design of encryption for mobile communication units JO - Proceedings of IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP '98) VL - 5 ID - Mencer1998 ER - TY - JOUR AU - Tessier, R. AU - Burleson, W. PY - 2001 DA - 2001// TI - Reconfigurable computing and digital signal processing: a survey JO - Journal of VLSI Signal Processing VL - 28 UR - https://doi.org/10.1023/A:1008155020711 DO - 10.1023/A:1008155020711 ID - Tessier2001 ER - TY - CHAP AU - Lodi, A. AU - Toma, M. AU - Campi, F. PY - 2003 DA - 2003// TI - A pipelined configurable gate array for embedded processors BT - Proceedings of ACM/SIGDA 11th International Symposium on Field-Programmable Gate Arrays (FPGA '03) ID - Lodi2003 ER - TY - CHAP AU - Rauwerda, G. K. AU - Smit, G. J. M. AU - Heysters, P. M. PY - 2005 DA - 2005// TI - Implementation of multi-standard wireless communication receivers in a heterogeneous reconfigurable system-on-chip BT - Proceedings of the 16th ProRISC Workshop ID - Rauwerda2005 ER - TY - CHAP AU - Kuon, I. AU - Rose, J. PY - 2006 DA - 2006// TI - Measuring the gap between FPGAs and ASICs BT - Proceedings of the ACM/SIGDA 14th International Symposium on Field-Programmable Gate Arrays (FPGA '06) ID - Kuon2006 ER - TY - JOUR AU - Laplante, P. A. PY - 2005 DA - 2005// TI - Computing requirements for self-repairing space systems JO - Journal of Aerospace Computing, Information and Communication VL - 2 UR - https://doi.org/10.2514/1.10609 DO - 10.2514/1.10609 ID - Laplante2005 ER - TY - STD TI - Branca T: How to Add Features and Fix Bugs - Remotely. Here's What You Need to Consider When Designing a Xilinx Online Application. Xilinx, 2001 ID - ref15 ER - TY - CHAP AU - Da Silva, C. F. AU - Tokarnia, A. M. PY - 2004 DA - 2004// TI - RECASTER: synthesis of fault-tolerant embedded systems based on dynamically reconfigurable FPGAs BT - Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS '04) ID - Da Silva2004 ER - TY - JOUR AU - Rose, J. AU - El Gamal, A. AU - Sangiovanni-Vincentelli, A. PY - 1993 DA - 1993// TI - Architecture of field-programmable gate arrays JO - Proceedings of the IEEE VL - 81 UR - https://doi.org/10.1109/5.231340 DO - 10.1109/5.231340 ID - Rose1993 ER - TY - JOUR AU - Mangione-Smith, W. H. AU - Hutchings, B. AU - Andrews, D. PY - 1997 DA - 1997// TI - Seeking solutions in configurable computing JO - IEEE Computer VL - 30 UR - https://doi.org/10.1109/2.642810 DO - 10.1109/2.642810 ID - Mangione-Smith1997 ER - TY - JOUR AU - Hauck, S. PY - 1998 DA - 1998// TI - The roles of FPGAs in reprogrammable systems JO - Proceedings of the IEEE VL - 86 UR - https://doi.org/10.1109/5.663540 DO - 10.1109/5.663540 ID - Hauck1998 ER - TY - CHAP AU - Hartenstein, R. PY - 2002 DA - 2002// TI - Trends in reconfigurable logic and reconfigurable computing BT - Proceedings of the 9th IEEE International Conference on Electronics, Circuits, and Systems (ICECS '02) UR - https://doi.org/10.1109/ICECS.2002.1046294 DO - 10.1109/ICECS.2002.1046294 ID - Hartenstein2002 ER - TY - JOUR AU - Compton, K. AU - Hauck, S. PY - 2002 DA - 2002// TI - Reconfigurable computing: a survey of systems and software JO - ACM Computing Surveys VL - 34 UR - https://doi.org/10.1145/508352.508353 DO - 10.1145/508352.508353 ID - Compton2002 ER - TY - JOUR AU - Todman, T. J. AU - Constantinides, G. A. AU - Wilton, S. J. E. AU - Mencer, O. AU - Luk, W. AU - Cheung, P. Y. K. PY - 2005 DA - 2005// TI - Reconfigurable computing: architectures and design methods JO - IEE Proceedings: Computers and Digital Techniques VL - 152 ID - Todman2005 ER - TY - CHAP AU - Kafafi, N. AU - Bozman, K. AU - Wilton, S. J. E. PY - 2003 DA - 2003// TI - Architectures and algorithms for synthesizable embedded programmable logic cores BT - Proceedings of ACM/SIGDA 11th International Symposium on Field-Programmable Gate Arrays (FPGA '03) ID - Kafafi2003 ER - TY - CHAP AU - Luthra, M. AU - Gupta, S. AU - Dutt, N. AU - Gupta, R. AU - Nicolau, A. PY - 2003 DA - 2003// TI - Interface synthesis using memory mapping for an FPGA platform BT - Proceedings of IEEE 21st International Conference on Computer Design: VLSI in Computers and Processors (ICCD '03) UR - https://doi.org/10.1109/ICCD.2003.1240886 DO - 10.1109/ICCD.2003.1240886 ID - Luthra2003 ER - TY - CHAP AU - Wong, T. AU - Wilton, S. J. E. PY - 2004 DA - 2004// TI - Placement and routing for non-rectangular embedded programmable logic cores in SoC design BT - IEEE International Conference on Field-Programmable Technology (FPT '04) ID - Wong2004 ER - TY - CHAP AU - Shannon, L. AU - Chow, P. PY - 2005 DA - 2005// TI - Simplifying the integration of processing elements in computing systems using a programmable controller BT - Proceedings of 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '05) UR - https://doi.org/10.1109/FCCM.2005.59 DO - 10.1109/FCCM.2005.59 ID - Shannon2005 ER - TY - CHAP AU - Quinton, B. R. AU - Wilton, S. J. E. PY - 2005 DA - 2005// TI - Post-silicon debug using programmable logic cores BT - Proceedings of the IEEE International Conference on Field-Programmable Technology (FPT '05) ID - Quinton2005 ER - TY - CHAP AU - Alsolaim, A. AU - Becker, J. AU - Glesner, M. AU - Starzyk, J. PY - 2000 DA - 2000// TI - Architecture and application of a dynamically reconfigurable hardware array for future mobile communication systems BT - Proceedings of the Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '00) ID - Alsolaim2000 ER - TY - JOUR AU - Dick, C. AU - Harris, F. PY - 2003 DA - 2003// TI - FPGA implementation of an OFDM PHY JO - Proceedings of the 37th Asilomar Conference on Signals, Systems and Computers VL - 1 ID - Dick2003 ER - TY - CHAP AU - Mohebbi, B. AU - Filho, E. C. AU - Maestre, R. AU - Davies, M. AU - Kurdahi, F. J. PY - 2003 DA - 2003// TI - A case study of mapping a software-defined radio (SDR) application on a reconfigurable DSP core BT - Proceedings of 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis ID - Mohebbi2003 ER - TY - CHAP AU - Sarrigeorgidis, K. AU - Rabaey, J. M. PY - 2003 DA - 2003// TI - Massively parallel wireless reconfigurable processor architecture and programming BT - Proceedings of 17th International Parallel and Distributed Processing Symposium (IPDPS '03) ID - Sarrigeorgidis2003 ER - TY - JOUR AU - Ebeling, C. AU - Fisher, C. AU - Xing, G. AU - Shen, M. AU - Liu, H. PY - 2004 DA - 2004// TI - Implementing an OFDM receiver on the RaPiD reconfigurable architecture JO - IEEE Transactions on Computers VL - 53 UR - https://doi.org/10.1109/TC.2004.98 DO - 10.1109/TC.2004.98 ID - Ebeling2004 ER - TY - JOUR AU - Rauwerda, G. K. AU - Heysters, P. M. AU - Smit, G. J. M. PY - 2004 DA - 2004// TI - Mapping wireless communication algorithms onto a reconfigurable architecture JO - Journal of Supercomputing VL - 30 UR - https://doi.org/10.1023/B:SUPE.0000045212.45532.13 DO - 10.1023/B:SUPE.0000045212.45532.13 ID - Rauwerda2004 ER - TY - CHAP AU - Rudra, A. PY - 2004 DA - 2004// TI - FPGA-based applications for software radio BT - RF Design Magazine ID - Rudra2004 ER - TY - CHAP AU - Ryser, P. PY - 2005 DA - 2005// TI - Software define radio with reconfigurable hardware and software: a framework for a TV broadcast receiver BT - Embedded Systems Conference ID - Ryser2005 ER - TY - STD TI - Altera Inc : Altera Devices on the Cutting Edge of Medical Technology. 2000, http://www.altera.com/corporate/cust_successes/customer/cst-CTI_PET.html UR - http://www.altera.com/corporate/cust_successes/customer/cst-CTI_PET.html ID - ref36 ER - TY - CHAP AU - Coric, S. AU - Leeser, M. AU - Miller, E. AU - Trepanier, M. PY - 2002 DA - 2002// TI - Parallel-beam backprojection: an FPGA implementation optimized for medical imaging BT - Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '02) ID - Coric2002 ER - TY - CHAP AU - Johnson, A. AU - Mackenzie, K. PY - 2001 DA - 2001// TI - Pattern matching in reconfigurable logic for packet classification BT - Proceedings of International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES '01) UR - https://doi.org/10.1145/502217.502236 DO - 10.1145/502217.502236 ID - Johnson2001 ER - TY - JOUR AU - Braun, F. AU - Lockwood, J. AU - Waldvogel, M. PY - 2002 DA - 2002// TI - Protocol wrappers for layered network packet processing in reconfigurable hardware JO - IEEE Micro VL - 22 UR - https://doi.org/10.1109/40.988691 DO - 10.1109/40.988691 ID - Braun2002 ER - TY - CHAP AU - Horta, E. L. AU - Lockwood, J. W. AU - Taylor, D. E. AU - Parlour, D. PY - 2002 DA - 2002// TI - Dynamic hardware plugins in an FPGA with partial run-time reconfiguration BT - Proceedings of the 39th Design Automation Conference ID - Horta2002 ER - TY - CHAP AU - Lattice Semiconductor Corporation PY - 2002 DA - 2002// BT - Lattice Orca ORLI10G Datasheet ID - Lattice Semiconductor Corporation2002 ER - TY - CHAP AU - Baker, Z. K. AU - Prasanna, V. K. PY - 2004 DA - 2004// TI - A methodology for synthesis of efficient intrusion detection systems on FPGAs BT - Proceedings of the 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '04) UR - https://doi.org/10.1109/FCCM.2004.6 DO - 10.1109/FCCM.2004.6 ID - Baker2004 ER - TY - CHAP AU - Crowe, F. AU - Daly, A. AU - Kerins, T. AU - Marnane, W. PY - 2004 DA - 2004// TI - Single-chip FPGA implementation of a cryptographic co-processor BT - Proceedings of the IEEE International Conference on Field-Programmable Technology ID - Crowe2004 ER - TY - CHAP AU - Kwok, T. T. -. O. AU - Kwok, Y. -. K. PY - 2004 DA - 2004// TI - On the design of a self-reconfigurable SoPC based cryptographic engine BT - Proceedings of 24th International Conference on Distributed Computing Systems Workshops (ICDCS '04) ID - Kwok2004 ER - TY - CHAP AU - Khasgiwale, R. AU - Krnan, L. AU - Perinkulam, A. AU - Tessier, R. PY - 2005 DA - 2005// TI - Reconfigurable data acquisition system for weather radar applications BT - Proceedings of 48th Midwest Symposium on Circuits and Systems (MWSCAS '05) ID - Khasgiwale2005 ER - TY - CHAP AU - Sanderson, C. AU - Shand, D. PY - 2005 DA - 2005// TI - FPGAs supplant processors and ASICs in advanced imaging applications BT - FPGA and Structured ASIC Journal ID - Sanderson2005 ER - TY - JOUR AU - Rimmele, T. R. PY - 2004 DA - 2004// TI - Recent advances in solar adaptive optics JO - Advancements in Adaptive Optics VL - 5490 UR - https://doi.org/10.1117/12.551764 DO - 10.1117/12.551764 ID - Rimmele2004 ER - TY - JOUR AU - Fry, T. AU - Hauck, S. PY - 2005 DA - 2005// TI - SPIHT image compression on FPGAs JO - IEEE Transactions on Circuits and Systems for Video Technology VL - 15 UR - https://doi.org/10.1109/TCSVT.2005.852625 DO - 10.1109/TCSVT.2005.852625 ID - Fry2005 ER - TY - JOUR AU - Reynolds, R. O. AU - Smith, P. H. AU - Bell, L. S. AU - Keller, H. U. PY - 2001 DA - 2001// TI - Design of Mars lander cameras for Mars Pathfinder, Mars Surveyor '98 and Mars Surveyor '01 JO - IEEE Transactions on Instrumentation and Measurement VL - 50 UR - https://doi.org/10.1109/19.903879 DO - 10.1109/19.903879 ID - Reynolds2001 ER - TY - CHAP AU - Kifle, M. AU - Andro, M. AU - Tran, Q. K. AU - Fujikawa, G. AU - Chu, P. P. PY - 2003 DA - 2003// TI - Toward a dynamically reconfigurable computing and communication system for small spacecraft BT - Proceedings of the 21st International Communication Satellite System Conference & Exhibit (ICSSC '03) ID - Kifle2003 ER - TY - JOUR AU - Stoica, A. AU - Keymeulen, D. AU - Lazaro, C. -. S. AU - Li, W. -. T. AU - Hayworth, K. AU - Tawel, R. PY - 1999 DA - 1999// TI - Toward on-board synthesis and adaptation of electronic functions: an evolvable hardware approach JO - Proceedings of IEEE Aerospace Applications Conference VL - 2 ID - Stoica1999 ER - TY - JOUR AU - Weingarten, J. W. AU - Gruener, G. AU - Siegwart, R. PY - 2004 DA - 2004// TI - A state-of-the-art 3D sensor for robot navigation JO - Proceedings of IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS '04), September- VL - 3 ID - Weingarten2004 ER - TY - JOUR AU - MacLean, W. J. PY - 2005 DA - 2005// TI - An evaluation of the suitability of FPGAs for embedded vision systems JO - Proceedings of IEEE Conference on Computer Vision and Pattern Recognition (CVPR '05) VL - 3 ID - MacLean2005 ER - TY - STD TI - Parnell K: You can take it with you: on the road with Xilinx.Xcell Journal 2002., (43): ID - ref54 ER - TY - STD TI - Parnell K: The changing face of automotive ECU design.Xcell Journal 2005., (53): ID - ref55 ER - TY - STD TI - Drivven : Programmable Logic IP Cores for FPGA and CPLD. , 2006 http://www.drivven.com/ProgrammableLogicIPCores.htm UR - http://www.drivven.com/ProgrammableLogicIPCores.htm ID - ref56 ER - TY - CHAP AU - Desmet, D. AU - Avasare, P. AU - Coene, P. PY - 2002 DA - 2002// TI - Design of Cam-E-leon: a run-time reconfigurable web camera BT - Embedded Processor Design Challenges: Systems, Architectures, Modeling, and Simulation (SAMOS '02), LNCS PB - Springer CY - Berlin, Germany UR - https://doi.org/10.1007/3-540-45874-3_16 DO - 10.1007/3-540-45874-3_16 ID - Desmet2002 ER - TY - CHAP AU - Leaser, M. AU - Miller, S. AU - Yu, H. PY - 2004 DA - 2004// TI - Smart camera based on reconfigurable hardware enables diverse real-time applications BT - Proceedings of 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '04) UR - https://doi.org/10.1109/FCCM.2004.53 DO - 10.1109/FCCM.2004.53 ID - Leaser2004 ER - TY - CHAP AU - Mignolet, J. -. Y. AU - Vernalde, S. AU - Verkest, D. AU - Lauwereins, R. PY - 2002 DA - 2002// TI - Enabling hardware-software multitasking on a reconfigurable computing platform for networked portable multimedia appliances BT - Proceedings of the International Conference on Engineering Reconfigurable Systems and Algorithms ID - Mignolet2002 ER - TY - CHAP AU - Hou, K. M. AU - Yao, E. AU - Tu, X. W. PY - 1993 DA - 1993// TI - A reconfigurable and flexible parallel 3D vision system for a mobile robot BT - Proceedings of Computer Architectures for Machine Perception ID - Hou1993 ER - TY - CHAP AU - Durbano, J. P. AU - Ortiz, F. E. AU - Humphrey, J. R. AU - Curt, P. F. AU - Prather, D. W. PY - 2004 DA - 2004// TI - FPGA-based acceleration of the 3D finite-difference time-domain method BT - Proceedings of the 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '04) UR - https://doi.org/10.1109/FCCM.2004.37 DO - 10.1109/FCCM.2004.37 ID - Durbano2004 ER - TY - BOOK AU - Elixent PY - 2002 DA - 2002// TI - DFA1000 RISC Accelerator PB - Elixent CY - Bristol, England ID - Elixent2002 ER - TY - CHAP AU - Leijten-Nowak, K. AU - Van Meerbergen, J. L. PY - 2003 DA - 2003// TI - An FPGA architecture with enhanced datapath functionality BT - Proceedings of ACM/SIGDA 11th International Symposium on Field-Programmable Gate Arrays (FPGA '03) ID - Leijten-Nowak2003 ER - TY - STD TI - Silicon Hive : Silicon Hive Technology Primer. Phillips Electronics NV, The Netherlands. 2003 ID - ref64 ER - TY - CHAP AU - Ye, A. G. AU - Rose, J. PY - 2004 DA - 2004// TI - Using multi-bit logic blocks and automated packing to improve field-programmable gate array density for implementing datapath circuits BT - IEEE International Conference on Field-Programmable Technology (FPT '04) ID - Ye2004 ER - TY - CHAP AU - Arnold, J. M. PY - 2005 DA - 2005// TI - S5: the architecture and development flow of a software configurable processor BT - Proceedings of the IEEE International Conference on Field-Programmable Technology (FPT '05) ID - Arnold2005 ER - TY - BOOK AU - Altera Inc PY - 2005 DA - 2005// TI - Stratix II Device Handbook, Volume 1 PB - Altera CY - San Jose, Calif, USA ID - Altera Inc2005 ER - TY - STD TI - Xilinx Inc : Virtex-II Pro and Virtex-II Pro X Platform FPGAs: Complete Data Sheet. Xilinx, San Jose, Calif, USA, 2005 ID - ref68 ER - TY - STD TI - Xilinx Inc : Virtex-4 Family Overview. Xilinx, San Jose, Calif, USA, 2004 ID - ref69 ER - TY - CHAP AU - Haynes, S. AU - Ferrari, A. AU - Cheung, P. PY - 1999 DA - 1999// TI - Flexible reconfigurable multiplier blocks suitable for enhancing the architecture of FPGAs BT - Proceedings of the Custom Integrated Circuits Conference ID - Haynes1999 ER - TY - CHAP AU - Hauck, S. AU - Fry, T. AU - Hosler, M. AU - Kao, J. PY - 1997 DA - 1997// TI - The Chimaera reconfigurable functional unit BT - Proceedings of the 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '97) UR - https://doi.org/10.1109/FPGA.1997.624608 DO - 10.1109/FPGA.1997.624608 ID - Hauck1997 ER - TY - BOOK AU - Betz, V. AU - Rose, J. AU - Marquardt, A. PY - 1999 DA - 1999// TI - Architecture and CAD for Deep-Submicron FPGAs PB - Kluwer Academic CY - Boston, Mass, USA UR - https://doi.org/10.1007/978-1-4615-5145-4 DO - 10.1007/978-1-4615-5145-4 ID - Betz1999 ER - TY - JOUR AU - Kum, K. -. I. AU - Sung, W. PY - 2001 DA - 2001// TI - Combined word-length optimization and high-level synthesis of digital signal processing systems JO - IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems VL - 20 UR - https://doi.org/10.1109/43.936374 DO - 10.1109/43.936374 ID - Kum2001 ER - TY - CHAP AU - Constantinides, G. A. AU - Cheung, P. Y. K. AU - Luk, W. PY - 2001 DA - 2001// TI - The multiple wordlength paradigm BT - Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '01), April- ID - Constantinides2001 ER - TY - CHAP AU - Malik, U. AU - So, K. AU - Diessel, O. PY - 2002 DA - 2002// TI - Resource-aware run-time elaboration of behavioural FPGA specifications BT - Proceedings of IEEE International Conference on Field-Programmable Technology (FPT '02) ID - Malik2002 ER - TY - CHAP AU - Zhao, Z. AU - Leeser, M. PY - 2003 DA - 2003// TI - Precision modeling of floating-point applications for variable bitwidth computing BT - Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '03) ID - Zhao2003 ER - TY - CHAP AU - DeHon, A. AU - Adams, J. AU - DeLorimier, M. PY - 2004 DA - 2004// TI - Design patterns for reconfigurable computing BT - Proceedings of the 12th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '04) UR - https://doi.org/10.1109/FCCM.2004.29 DO - 10.1109/FCCM.2004.29 ID - DeHon2004 ER - TY - CHAP AU - Han, K. AU - Evans, B. L. AU - Swartzlander, E. E. PY - 2004 DA - 2004// TI - Data wordlength reduction for low-power signal processing software BT - IEEE Workshop on Signal Processing Systems (SIPS '04) ID - Han2004 ER - TY - JOUR AU - Park, J. AU - Diniz, P. C. AU - Shesha Shayee, K. R. PY - 2004 DA - 2004// TI - Performance and area modeling of complete FPGA designs in the presence of loop transformations JO - IEEE Transactions on Computers VL - 53 UR - https://doi.org/10.1109/TC.2004.101 DO - 10.1109/TC.2004.101 ID - Park2004 ER - TY - JOUR AU - Chang, M. L. AU - Hauck, S. PY - 2005 DA - 2005// TI - Précis: a usercentric word-length optimization tool JO - IEEE Design and Test of Computers VL - 22 UR - https://doi.org/10.1109/MDT.2005.92 DO - 10.1109/MDT.2005.92 ID - Chang2005 ER - TY - CHAP AU - Morra, C. AU - Becker, J. AU - Ayala-Rincon, M. AU - Hartenstein, R. PY - 2005 DA - 2005// TI - FELIX: using rewriting-logic for generating functionally equivalent implementations BT - Proceedings of International Conference on Field-Programmable Logic and Applications ID - Morra2005 ER - TY - CHAP AU - Cong, J. AU - Xu, S. PY - 1998 DA - 1998// TI - Technology mapping for FPGAs with embedded memory blocks BT - Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '98) ID - Cong1998 ER - TY - CHAP AU - Wilton, S. J. E. PY - 2002 DA - 2002// TI - Implementing logic in FPGA memory arrays: heterogeneous memory architectures BT - Proceedings of IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '02) ID - Wilton2002 ER - TY - CHAP AU - Tessier, R. AU - Betz, V. AU - Neto, D. AU - Gopalsamy, T. PY - 2006 DA - 2006// TI - Power-aware RAM mapping for FPGA embedded memory blocks BT - Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '06) ID - Tessier2006 ER - TY - CHAP AU - Choi, S. AU - Scrofano, R. AU - Prasanna, V. K. AU - Jang, J. -. W. PY - 2003 DA - 2003// TI - Energy-efficient signal processing using FPGAs BT - Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '03) ID - Choi2003 ER - TY - CHAP AU - Ou, J. AU - Choi, S. AU - Prasanna, V. K. PY - 2003 DA - 2003// TI - Performance modeling of reconfigurable SoC architectures and energy-efficient mapping of a class of application BT - Proceedings of 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '03) ID - Ou2003 ER - TY - CHAP AU - Gayasen, A. AU - Lee, K. AU - Vijaykrishnan, N. AU - Kandemir, M. AU - Irwin, M. J. AU - Tuan, T. PY - 2004 DA - 2004// TI - A dual-vdd low power FPGA architecture BT - Proceedings of the 14th International Conference on Field-Programmable Logic and Applications (FPL '04), August- ID - Gayasen2004 ER - TY - JOUR AU - Li, F. AU - Lin, Y. AU - He, L. AU - Cong, J. PY - 2004 DA - 2004// TI - Low-power FPGA using pre-defined dual-Vdd/dual-Vt fabrics JO - Proceedings of ACM/SIGDA 12th International Symposium on Field-Programmable Gate Arrays (FPGA '04) VL - 12 ID - Li2004 ER - TY - JOUR AU - Rahman, A. AU - Polavarapuv, V. PY - 2004 DA - 2004// TI - Evaluation of low-leakage design techniques for field programmable gate arrays JO - ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '04) VL - 12 ID - Rahman2004 ER - TY - JOUR AU - Lamoureux, J. AU - Wilton, S. J. E. PY - 2005 DA - 2005// TI - On the interaction between power-aware computer-aided design algorithms for field-programmable gate arrays JO - Journal of Low Power Electronics VL - 1 UR - https://doi.org/10.1166/jolpe.2005.023 DO - 10.1166/jolpe.2005.023 ID - Lamoureux2005 ER - TY - JOUR AU - Poon, K. K. W. AU - Wilton, S. J. E. AU - Yan, A. PY - 2005 DA - 2005// TI - A detailed power model for field-programmable gate arrays JO - ACM Transactions on Design Automation of Electronic Systems VL - 10 UR - https://doi.org/10.1145/1059876.1059881 DO - 10.1145/1059876.1059881 ID - Poon2005 ER - TY - CHAP AU - DeHon, A. AU - Huang, R. AU - Wawrzynek, J. PY - 2002 DA - 2002// TI - Hardware-assisted fast routing BT - Proceedings of the 10th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '02) UR - https://doi.org/10.1109/FPGA.2002.1106675 DO - 10.1109/FPGA.2002.1106675 ID - DeHon2002 ER - TY - CHAP AU - Maidee, P. AU - Ababei, C. AU - Bazargan, K. PY - 2003 DA - 2003// TI - Fast timing-driven partitioning-based placement for island style FPGAs BT - Proceedings of the 40th Design Automation Conference (DAC '03) ID - Maidee2003 ER - TY - CHAP AU - Wrighton, M. G. AU - DeHon, A. M. PY - 2003 DA - 2003// TI - Hardware-assisted simulated annealing with application for fast FPGA placement BT - ACM/SIGDA 11th International Symposium on Field-Programmable Gate Arrays (FPGA '03) ID - Wrighton2003 ER - TY - JOUR AU - Handa, M. AU - Vemuri, R. PY - 2004 DA - 2004// TI - Hardware assisted two dimensional ultra fast placement JO - Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS '04) VL - 18 ID - Handa2004 ER - TY - CHAP AU - Li, S. AU - Ebeling, C. PY - 2004 DA - 2004// TI - QuickRoute: a fast routing algorithm for pipelined architectures BT - Proceedings of IEEE International Conference on Field-Programmable Technology (FPT '04) ID - Li2004 ER - TY - CHAP AU - Lysecky, R. AU - Vahid, F. AU - Tan, S. X. -. D. PY - 2005 DA - 2005// TI - A study of the scalability of on-chip routing for just-in-time FPGA compilation BT - Proceedings of 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '05) UR - https://doi.org/10.1109/FCCM.2005.12 DO - 10.1109/FCCM.2005.12 ID - Lysecky2005 ER - TY - CHAP AU - Chu, M. AU - Weaver, N. AU - Sulimma, K. AU - DeHon, A. AU - Wawrzynek, J. PY - 1998 DA - 1998// TI - Object oriented circuit-generators in Java BT - Proceedings of the 6th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98) ID - Chu1998 ER - TY - CHAP AU - Derbyshire, A. AU - Luk, W. PY - 2002 DA - 2002// TI - Compiling run-time parametrisable designs BT - Proceedings of the IEEE International Conference on Field-Programmable Technology (FPT '02) ID - Derbyshire2002 ER - TY - BOOK AU - Wolf, W. PY - 2000 DA - 2000// TI - Computers as Components: Principles of Embedded Computer Systems Design PB - Morgan Kaufmann CY - San Francisco, Calif, USA ID - Wolf2000 ER - TY - JOUR AU - Barat, F. AU - Lauwereins, R. AU - Deconinck, G. PY - 2002 DA - 2002// TI - Reconfigurable instruction set processors from a hardware/software perspective JO - IEEE Transactions on Software Engineering VL - 28 UR - https://doi.org/10.1109/TSE.2002.1033225 DO - 10.1109/TSE.2002.1033225 ID - Barat2002 ER - TY - CHAP AU - Razdan, F. AU - Smith, M. PY - 1994 DA - 1994// TI - A high-performance microarchitecture with hardware-programmable functional units BT - Proceedings of the 27th Annual International Symposium on Microarchitecture (MICRO '94), November- ID - Razdan1994 ER - TY - CHAP AU - Wittig, R. D. AU - Chow, P. PY - 1996 DA - 1996// TI - OneChip: an FPGA processor with reconfigurable logic BT - Proceedings of the IEEE Symposium on FPGAs for Custom Computing Machines UR - https://doi.org/10.1109/FPGA.1996.564773 DO - 10.1109/FPGA.1996.564773 ID - Wittig1996 ER - TY - CHAP AU - Carrillo, J. E. AU - Chow, P. PY - 2001 DA - 2001// TI - The effect of reconfigurable units in superscalar processors BT - Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '01) ID - Carrillo2001 ER - TY - JOUR AU - Mei, B. AU - Vernalde, S. AU - Verkest, D. AU - Lauwereins, R. PY - 2004 DA - 2004// TI - Design methodology for a tightly coupled VLIW/reconfigurable matrix architecture: a case study JO - Proceedings of the Conference on Design, Automation and Test in Europe (DATE '04) VL - 2 UR - https://doi.org/10.1109/DATE.2004.1269063 DO - 10.1109/DATE.2004.1269063 ID - Mei2004 ER - TY - BOOK AU - Altera Inc PY - 2005 DA - 2005// TI - Nios II Processor Reference Handbook PB - Altera CY - San Jose, Calif, USA ID - Altera Inc2005 ER - TY - STD TI - Xilinx Inc : MicroBlaze Processor Reference Guide. Xilinx, San Jose, Calif, USA, 2003 ID - ref107 ER - TY - CHAP AU - Lawrence, A. AU - Kay, A. AU - Luk, W. AU - Nomura, T. AU - Page, I. PY - 1995 DA - 1995// TI - Using reconfigurable hardware to speed up product development and performance BT - Proceedings of the 5th International Workshop on Field-Programmable Logic and Applications (FPL '95), August- UR - https://doi.org/10.1007/3-540-60294-1_104 DO - 10.1007/3-540-60294-1_104 ID - Lawrence1995 ER - TY - CHAP AU - Rabaey, J. M. AU - Abnous, A. AU - Ichikawa, Y. AU - Seno, K. AU - Wan, M. PY - 1997 DA - 1997// TI - Heterogeneous reconfigurable systems BT - IEEE Workshop on Signal Processing Systems, Design and Implementation (SiPS '97) ID - Rabaey1997 ER - TY - CHAP AU - Hauser, J. R. AU - Wawrzynek, J. PY - 1997 DA - 1997// TI - Garp: a MIPS processor with a reconfigurable coprocessor BT - Proceedings of the 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '97) UR - https://doi.org/10.1109/FPGA.1997.624600 DO - 10.1109/FPGA.1997.624600 ID - Hauser1997 ER - TY - CHAP AU - Schmit, H. AU - Whelihan, D. AU - Tsai, A. AU - Moe, M. AU - Levine, B. AU - Taylor, R. R. PY - 2002 DA - 2002// TI - PipeRench: a virtualized programmable datapath in 0.18 Micron technology BT - Proceedings of the Custom Integrated Circuits Conference ID - Schmit2002 ER - TY - CHAP AU - Bocchi, M. AU - De Bartolomeis, C. AU - Mucci, C. PY - 2004 DA - 2004// TI - A XiRisc-based SoC for embedded DSP applications BT - Proceedings of the IEEE Custom Integrated Circuits Conference ID - Bocchi2004 ER - TY - JOUR AU - Kujoth, R. B. AU - Wang, C. -. W. AU - Gottlieb, D. B. AU - Cook, J. J. AU - Carter, N. P. PY - 2004 DA - 2004// TI - A reconfigurable unit for a clustered programmable-reconfigurable processor JO - Proceedings of ACM/SIGDA 12th International Symposium on Field-Programmable Gate Arrays (FPGA '04) VL - 12 ID - Kujoth2004 ER - TY - STD TI - Xilinx Inc : Virtex-II Platform FPGAs: Complete Data Sheet. Xilinx, San Jose, Calif, USA, 2004 ID - ref114 ER - TY - STD TI - Actel Corporation : VariCoreTMEmbedded Programmable Gate Array Core (EPGATM) 0.18μm Family. Actel, Mountain View, Calif, USA, 2001 ID - ref115 ER - TY - STD TI - M2000 Press Release—May 15, 2002. M2000, Bièvres, France, 2002 ID - ref116 ER - TY - CHAP AU - Compton, K. AU - Hauck, S. PY - 2001 DA - 2001// TI - Totem: custom reconfigurable array generation BT - Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '01), April- ID - Compton2001 ER - TY - STD TI - STMicroelectronics : STMicroelectronics Introduces New Member of SPEArTM Family of Configurable System-on-Chip ICs. Press Release, 2005, http://us.st.com/stonline/press/news/year2005/p1711p.htm UR - http://us.st.com/stonline/press/news/year2005/p1711p.htm ID - ref118 ER - TY - JOUR AU - Yang, F. AU - Paindavoine, M. PY - 2003 DA - 2003// TI - Implementation of an RBF neural network on embedded systems: real-time face tracking and identity verification JO - IEEE Transactions on Neural Networks VL - 14 UR - https://doi.org/10.1109/TNN.2003.816035 DO - 10.1109/TNN.2003.816035 ID - Yang2003 ER - TY - CHAP AU - Weaver, P. AU - Palma, F. PY - 2005 DA - 2005// TI - Using software-configurable processors in biometric applications BT - Industrial Embedded Systems Resource Guide ID - Weaver2005 ER - TY - CHAP AU - George, V. AU - Hui, Z. AU - Rabaey, J. PY - 1999 DA - 1999// TI - The design of a low energy FPGA BT - Proceedings of the International Symposium on Low Power Electronics and Design ID - George1999 ER - TY - CHAP AU - Heysters, P. AU - Smit, G. J. M. AU - Molenkamp, E. PY - 2004 DA - 2004// TI - Energy-efficiency of the MONTIUM reconfigurable tile processor BT - Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '04) ID - Heysters2004 ER - TY - CHAP AU - Asadi, G. AU - Tahoori, M. B. PY - 2005 DA - 2005// TI - Soft error rate estimation and mitigation for SRAM-based FPGAs BT - Proceedings of the ACM/SIGDA 13th International Symposium on Field-Programmable Gate Arrays (FPGA '05) ID - Asadi2005 ER - TY - STD TI - Xilinx Inc : EasyPath Devices Datasheet. Xilinx, San Jose, Calif, USA, 2005 ID - ref124 ER - TY - CHAP AU - Campregher, N. AU - Cheung, P. Y. K. AU - Constantindes, G. A. AU - Vasilko, M. PY - 2006 DA - 2006// TI - Yield enhancements of design-specific FPGAs BT - Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '06) ID - Campregher2006 ER - TY - JOUR AU - Sterpone, L. AU - Violante, M. PY - 2005 DA - 2005// TI - Analysis of the robustness of the TMR architecture in SRAM-based FPGAs JO - IEEE Transactions on Nuclear Science VL - 52 UR - https://doi.org/10.1109/TNS.2005.856543 DO - 10.1109/TNS.2005.856543 ID - Sterpone2005 ER - TY - CHAP AU - Bernardi, P. AU - Sonza Reorda, M. AU - Sterpone, L. AU - Violante, M. PY - 2004 DA - 2004// TI - On the evaluation of SEU sensitiveness in SRAM-based FPGAs BT - Proceedings of the 10th IEEE International On-Line Testing Symposium (IOLTS &04) UR - https://doi.org/10.1109/OLT.2004.1319668 DO - 10.1109/OLT.2004.1319668 ID - Bernardi2004 ER - TY - JOUR AU - Tiwari, A. AU - Tomko, K. A. PY - 2005 DA - 2005// TI - Enhanced reliability of finite-state machines in FPGA through efficient fault detection and correction JO - IEEE Transactions on Reliability VL - 54 UR - https://doi.org/10.1109/TR.2005.853438 DO - 10.1109/TR.2005.853438 ID - Tiwari2005 ER - TY - JOUR AU - Graham, P. AU - Caffrey, M. AU - Wirthlin, M. AU - Johnson, D. E. AU - Rollins, N. PY - 2003 DA - 2003// TI - Reconfigurable computing in space: from current technology to reconfigurable systems-on-a-chip JO - Proceedings of the IEEE Aerospace Conference VL - 5 ID - Graham2003 ER - TY - JOUR AU - Hasuko, K. AU - Fukunaga, C. AU - Ichimiya, R. PY - 2002 DA - 2002// TI - A remote control system for FPGA-embedded modules in radiation enviornments JO - IEEE Transactions on Nuclear Science VL - 49 UR - https://doi.org/10.1109/TNS.2002.1003787 DO - 10.1109/TNS.2002.1003787 ID - Hasuko2002 ER - TY - CHAP AU - Lach, J. AU - Mangione-Smith, W. H. AU - Potkonjak, M. PY - 1998 DA - 1998// TI - Efficiently supporting fault-tolerance in FPGAs BT - Proceedings of the ACM/SIGDA 6th International Symposium on Field-Programmable Gate Arrays (FPGA '98) ID - Lach1998 ER - TY - STD TI - Mokhoff N: 'Infrastructure IP' Seen Aiding SoC Yields.EE Times, July 2002 ID - ref132 ER - TY - JOUR AU - Dave, B. P. AU - Jha, N. K. PY - 1999 DA - 1999// TI - COFTA: hardware-software co-synthesis of heterogeneous distributed embedded systems for low overhead fault tolerance JO - IEEE Transactions on Computers VL - 48 UR - https://doi.org/10.1109/12.762534 DO - 10.1109/12.762534 ID - Dave1999 ER - TY - BOOK AU - Liu, J. W. S. PY - 2000 DA - 2000// TI - Real-Time Systems PB - Prentice-Hall CY - Englewood Cliffs, NJ, USA ID - Liu2000 ER - TY - CHAP AU - Verdier, F. AU - Prevotet, J. AU - Benkhelifa, A. AU - Chillet, D. AU - Pillement, S. PY - 2005 DA - 2005// TI - Exploring RTOS issues with a high-level model of a reconfigurable SoC platform BT - Proceedings of the European Workshop on Reconfigurable Communication Centric (ReCoSoC '05) ID - Verdier2005 ER - TY - CHAP AU - Griese, B. AU - Vonnahme, E. AU - Porrmann, M. AU - Ruckert, U. PY - 2004 DA - 2004// TI - Hardware support for dynamic reconfiguration in reconfigurable SoC architectures BT - Proceedings of the 14th International Conference on Field-Programmable Logic and Applications (FPL '04), August- ID - Griese2004 ER - TY - JOUR AU - Steiger, C. AU - Walder, H. AU - Platzner, M. PY - 2004 DA - 2004// TI - Operating systems for reconfigurable embedded platforms: online scheduling of real-time tasks JO - IEEE Transactions on Computers VL - 53 UR - https://doi.org/10.1109/TC.2004.99 DO - 10.1109/TC.2004.99 ID - Steiger2004 ER - TY - CHAP AU - Danne, K. AU - Platzner, M. PY - 2005 DA - 2005// TI - Periodic real-time scheduling for FPGA computers BT - Proceedings of the 3rd Workshop on Intelligent Solutions in Embedded Systems (WISES '05) ID - Danne2005 ER - TY - CHAP AU - Brisk, P. AU - Kaplan, A. AU - Kastner, R. AU - Sarrafzadeh, M. PY - 2002 DA - 2002// TI - Instruction generation and regularity extraction for reconfigurable processors BT - Proceedings of the International Conferences on Compilers Architectures and Synthesis of Embeded Systems (CASES '02) UR - https://doi.org/10.1145/581630.581672 DO - 10.1145/581630.581672 ID - Brisk2002 ER - TY - CHAP AU - Yehia, S. AU - Clark, N. AU - Mahlke, S. AU - Flautner, K. PY - 2005 DA - 2005// TI - Exploring the design space of LUT-based transparent accelerators BT - International Conference on Compilers, Architecture, and Synthesis for Embedded Systems (CASES '05) ID - Yehia2005 ER - TY - CHAP AU - Yu, P. AU - Mitra, T. PY - 2005 DA - 2005// TI - Satisfying real-time constraints with custom instructions BT - Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and Systems Synthesis (CODES+ISSS '05) ID - Yu2005 ER - TY - CHAP AU - Kean, T. PY - 2001 DA - 2001// TI - Secure configuration of field programmable gate arrays BT - Proceedings of 11th International Conference on Field-Programmable Logic and Applications (FPL '01) ID - Kean2001 ER - TY - CHAP AU - Bossuet, L. AU - Gogniat, G. AU - Burleson, W. PY - 2004 DA - 2004// TI - Dynamically configurable security for SRAM FPGA bitstreams BT - Proceedings of the International Parallel and Distributed Processing Symposium (IPDPS '04) ID - Bossuet2004 ER - TY - STD TI - Xilinx Inc , Telikepalli A: Is Your FPGA Design Secure? Xilinx, San Jose, Calif, USA, 2003 ID - ref144 ER - TY - BOOK AU - Altera Inc PY - 2004 DA - 2004// TI - FPGA Design Security Solution Using Max II Devices PB - Altera CY - San Jose, Calif, USA ID - Altera Inc2004 ER - TY - CHAP AU - Rupp, C. R. AU - Landguth, M. AU - Garverick, T. PY - 1998 DA - 1998// TI - The NAPA adaptive processing architecture BT - Proceedings of 6th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '98) ID - Rupp1998 ER - TY - JOUR AU - Bazargan, K. AU - Kastner, R. AU - Sarrafzadeh, M. PY - 2000 DA - 2000// TI - Fast template placement for reconfigurable computing systems JO - IEEE Design and Test of Computers VL - 17 UR - https://doi.org/10.1109/54.825678 DO - 10.1109/54.825678 ID - Bazargan2000 ER - TY - JOUR AU - Compton, K. AU - Li, Z. AU - Cooley, J. AU - Knol, S. AU - Hauck, S. PY - 2002 DA - 2002// TI - Configuration relocation and defragmentation for run-time reconfigurable computing JO - IEEE Transactions on Very Large Scale Integration (VLSI) Systems VL - 10 UR - https://doi.org/10.1109/TVLSI.2002.1043324 DO - 10.1109/TVLSI.2002.1043324 ID - Compton2002 ER - TY - CHAP AU - Malik, U. AU - Diessel, O. PY - 2004 DA - 2004// TI - On the placement and granularity of FPGA configurations BT - Proceedings of IEEE International Conference on Field-Programmable Technology (FPT '04) ID - Malik2004 ER - TY - CHAP AU - Brebner, G. PY - 1997 DA - 1997// TI - Swappable logic unit: a paradigm for virtual hardware BT - Proceedings of the 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '97) UR - https://doi.org/10.1109/FPGA.1997.624607 DO - 10.1109/FPGA.1997.624607 ID - Brebner1997 ER - TY - CHAP AU - Caspi, E. AU - Huang, R. AU - Markovskiy, Y. AU - Yeh, J. AU - Wawrzynek, J. AU - DeHon, A. PY - 2001 DA - 2001// TI - A streaming multi-threaded model BT - Proceedings of the 3rd Workshop on Media and Stream Processors (MSP '01) ID - Caspi2001 ER - TY - CHAP AU - Markovskiy, Y. AU - Caspi, E. AU - Huang, R. PY - 2002 DA - 2002// TI - Analysis of quasi-static scheduling techniques in a virtualized reconfigurable machine BT - Proceedings of 10th ACM International Symposium on Field-Programmable Gate Arrays (FPGA '02) ID - Markovskiy2002 ER - TY - CHAP AU - Nollet, V. AU - Mignolet, J. -. Y. AU - Bartic, T. A. AU - Verkest, D. AU - Vernalde, S. AU - Lauwereins, R. PY - 2003 DA - 2003// TI - Hierarchical run-time reconfiguration managed by an operating system for reconfigurable systems BT - Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms ID - Nollet2003 ER - TY - CHAP AU - Li, Z. AU - Hauck, S. PY - 2001 DA - 2001// TI - Configuration compression for virtex FPGAs BT - Proceedings of the 9th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '01), April- ID - Li2001 ER - TY - CHAP AU - Li, Z. AU - Compton, K. AU - Hauck, S. PY - 2000 DA - 2000// TI - Configuration caching techniques for FPGA BT - Proceedings of 8th IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '00) ID - Li2000 ER - TY - CHAP AU - DeHon, A. PY - 1996 DA - 1996// TI - DPGA utilization and application BT - Proceedings of the ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '96) ID - DeHon1996 ER - TY - CHAP AU - Trimberger, S. AU - Carberry, D. AU - Johnson, A. AU - Wong, J. PY - 1997 DA - 1997// TI - A time-multiplexed FPGA BT - Proceedings of the 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines UR - https://doi.org/10.1109/FPGA.1997.624601 DO - 10.1109/FPGA.1997.624601 ID - Trimberger1997 ER - TY - CHAP AU - Li, Z. AU - Hauck, S. PY - 2002 DA - 2002// TI - Configuration prefetching techniques for partial reconfigurable coprocessor with relocation and defragmentation BT - Proceedings of 10th ACM International Symposium on Field-Programmable Gate Arrays (FPGA '02) ID - Li2002 ER - TY - CHAP AU - Maestre, R. AU - Kurdahi, F. J. AU - Bagherzadeh, N. AU - Singh, H. AU - Hermida, R. AU - Fernandez, M. PY - 1999 DA - 1999// TI - Kernel scheduling in reconfigurable computing BT - Proceedings of Design, Automation and Test in Europe Conference and Exhibition ID - Maestre1999 ER - TY - JOUR AU - Gajjala Purna, K. M. AU - Bhatia, D. PY - 1999 DA - 1999// TI - Temporal partitioning and scheduling data flow graphs for reconfigurable computers JO - IEEE Transactions on Computers VL - 48 UR - https://doi.org/10.1109/12.773795 DO - 10.1109/12.773795 ID - Gajjala Purna1999 ER - TY - CHAP AU - Brebner, G. PY - 1996 DA - 1996// TI - A virtual hardware operating system for the Xilinx XC6200 BT - Proceedings of the 6th International Workshop on Field-Programmable Logic and Applications (FPL '96) ID - Brebner1996 ER - TY - JOUR AU - Resano, J. AU - Mozos, D. AU - Verkest, D. AU - Catthoor, F. PY - 2005 DA - 2005// TI - A reconfiguration manager for dynamically reconfigurable hardware JO - IEEE Design and Test of Computers VL - 22 UR - https://doi.org/10.1109/MDT.2005.100 DO - 10.1109/MDT.2005.100 ID - Resano2005 ER - TY - CHAP AU - Sudarsanam, A. AU - Srinivasan, M. AU - Panchanathan, S. PY - 2004 DA - 2004// TI - Resource estimation and task scheduling for multithreaded reconfigurable architectures BT - Proceedings of the International Conference on Parallel and Distributed Systems (ICPADS '04) ID - Sudarsanam2004 ER - TY - JOUR AU - Diessel, O. AU - ElGindy, H. AU - Middendorf, M. AU - Schmeck, H. AU - Schmidt, B. PY - 2000 DA - 2000// TI - Dynamic scheduling of tasks on partially reconfigurable FPGAs JO - IEE Proceedings: Computers and Digital Techniques VL - 147 ID - Diessel2000 ER - TY - CHAP AU - Quinn, H. AU - King, L. A. S. AU - Leeser, M. AU - Meleis, W. PY - 2003 DA - 2003// TI - Runtime assignment of reconfigurable hardware components for image processing pipelines BT - 11th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '03) ID - Quinn2003 ER - TY - CHAP AU - Stitt, G. AU - Lysecky, R. AU - Vahid, F. PY - 2003 DA - 2003// TI - Dynamic hardware/software partitioning: a first approach BT - Proceedings of the 40th Design Automation Conference (DAC '03) ID - Stitt2003 ER - TY - JOUR AU - Noguera, J. AU - Badia, R. PY - 2004 DA - 2004// TI - Multitasking on reconfigurable architectures: microarchitecture support and dynamic scheduling JO - ACM Transactions on Embedded Computing Systems VL - 3 UR - https://doi.org/10.1145/993396.993404 DO - 10.1145/993396.993404 ID - Noguera2004 ER - TY - CHAP AU - Ahmadinia, A. AU - Bobda, C. AU - Koch, D. AU - Majer, M. AU - Teich, J. PY - 2004 DA - 2004// TI - Task scheduling for heterogeneous reconfigurable computers BT - Proceedings of the 17th Symposium on Integrated Cicuits and Systems Design ID - Ahmadinia2004 ER - TY - JOUR AU - Lysecky, R. AU - Vahid, F. PY - 2004 DA - 2004// TI - A configurable logic architecture for dynamic hardware/software partitioning JO - Proceedings of Design, Automation and Test in Europe Conference and Exhibition VL - 1 UR - https://doi.org/10.1109/DATE.2004.1268892 DO - 10.1109/DATE.2004.1268892 ID - Lysecky2004 ER - TY - CHAP AU - Fu, W. AU - Compton, K. PY - 2005 DA - 2005// TI - An execution environment for reconfigurable computing BT - Proceedings of the 13th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '05) ID - Fu2005 ER - TY - JOUR AU - Wiangtong, T. AU - Cheung, P. Y. K. AU - Luk, W. PY - 2005 DA - 2005// TI - Hardware/software codesign: a systematic approach targeting data-intensive applications JO - IEEE Signal Processing Magazine VL - 22 UR - https://doi.org/10.1109/MSP.2005.1425894 DO - 10.1109/MSP.2005.1425894 ID - Wiangtong2005 ER - TY - CHAP AU - Benoit, P. AU - Torres, L. AU - Sassatelli, G. AU - Robert, M. AU - Cambon, G. PY - 2005 DA - 2005// TI - Automatic task scheduling / loop unrolling using dedicated RTR controllers in coarse grain reconfigurable architectures BT - Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium (IPDPS '05) UR - https://doi.org/10.1109/IPDPS.2005.119 DO - 10.1109/IPDPS.2005.119 ID - Benoit2005 ER - TY - CHAP AU - Simmler, H. AU - Levison, L. AU - Manner, R. PY - 2000 DA - 2000// TI - Multitasking on FPGA coprocessors BT - The International Conference on Field-Programmable Logic, Reconfigurable Computing, and Applications (FPL '00) UR - https://doi.org/10.1007/3-540-44614-1_13 DO - 10.1007/3-540-44614-1_13 ID - Simmler2000 ER - TY - CHAP AU - Kalte, H. AU - Porrmann, M. PY - 2005 DA - 2005// TI - Context saving and restoring for multitasking in reconfigurable systems BT - Proceedings of International Conference on Field-Programmable Logic and Applications (FPL '05) ID - Kalte2005 ER - TY - CHAP AU - Li, Y. AU - Callahan, T. AU - Darnell, E. AU - Harr, R. AU - Kurkure, U. AU - Stockwood, J. PY - 2000 DA - 2000// TI - Hardware-software co-design of embedded reconfigurable architectures BT - Proceedings of 37th Design Automation Conference (DAC '00) UR - https://doi.org/10.1145/337292.337559 DO - 10.1145/337292.337559 ID - Li2000 ER - TY - CHAP AU - Savage, M. J. W. AU - Salcic, Z. AU - Coghill, G. AU - Covic, G. PY - 2004 DA - 2004// TI - Extended genetic algorithm for codesign optimization of DSP systems in FPGAs BT - Proceedings of IEEE International Conference on Field-Programmable Technology (FPT '04) ID - Savage2004 ER - TY - BOOK AU - Kumar, S. AU - Aylor, J. H. AU - Johnson, B. W. AU - Wulf, W. A. PY - 1995 DA - 1995// TI - The Codesign of Embedded Systems: A Unified Hardware/Software Representation PB - Springer CY - New York, NY, USA ID - Kumar1995 ER - TY - JOUR AU - Chiodo, M. AU - Giusto, P. AU - Jurecska, A. AU - Hsieh, H. C. AU - Sangiovanni-Vincentelli, A. AU - Lavagno, L. PY - 1994 DA - 1994// TI - Hardware-software codesign of embedded systems JO - IEEE Micro VL - 14 UR - https://doi.org/10.1109/40.296155 DO - 10.1109/40.296155 ID - Chiodo1994 ER - TY - JOUR AU - Ernst, R. PY - 1998 DA - 1998// TI - Codesign of embedded systems: status and trends JO - IEEE Design and Test of Computers VL - 15 UR - https://doi.org/10.1109/54.679207 DO - 10.1109/54.679207 ID - Ernst1998 ER - TY - JOUR AU - Wolf, W. PY - 2003 DA - 2003// TI - A decade of hardware/software codesign JO - IEEE Computer VL - 36 UR - https://doi.org/10.1109/MC.2003.1193227 DO - 10.1109/MC.2003.1193227 ID - Wolf2003 ER - TY - CHAP AU - Gokhale, M. AU - Stone, J. M. AU - Arnold, J. AU - Kalinowski, M. PY - 2000 DA - 2000// TI - Stream-oriented FPGA computing in the Streams-C high level language BT - Proceedings of the Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '00) ID - Gokhale2000 ER - TY - STD TI - Synopsys Inc : CoCentric System C Compiler. Synopsys, Mountain View, Calif, USA, 2000 ID - ref182 ER - TY - JOUR AU - Weinhardt, M. AU - Luk, W. PY - 2001 DA - 2001// TI - Pipeline vectorization JO - IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems VL - 20 UR - https://doi.org/10.1109/43.908452 DO - 10.1109/43.908452 ID - Weinhardt2001 ER - TY - CHAP AU - Niehaus, D. AU - Andrews, D. PY - 2003 DA - 2003// TI - Using the multi-threaded computation model as a unifying framework for hardware-software co-design and implementation BT - Proceedings of the 9th International Workshop on Object-Oriented Real-Time Dependable Systems (WORDS '03) ID - Niehaus2003 ER - TY - CHAP AU - Swahn, B. AU - Hassoun, S. PY - 2003 DA - 2003// TI - Hardware scheduling for dynamic adaptability using external profiling and hardware threading BT - Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD '03) ID - Swahn2003 ER - TY - CHAP AU - De Micheli, G. PY - 1999 DA - 1999// TI - Hardware synthesis from C/C++ models BT - Proceedings of Design, Automation and Test in Europe Conference and Exhibition ID - De Micheli1999 ER - TY - CHAP AU - DeHon, A. PY - 2002 DA - 2002// TI - Very large scale spatial computing BT - Proceedings of the 3rd International Conference on Unconventional Models of Computation (UMC '02) UR - https://doi.org/10.1007/3-540-45833-6_3 DO - 10.1007/3-540-45833-6_3 ID - DeHon2002 ER - TY - JOUR AU - Andrews, D. AU - Niehaus, D. AU - Ashenden, P. PY - 2004 DA - 2004// TI - Programming models for hybrid CPU/FPGA chips JO - IEEE Computer VL - 37 UR - https://doi.org/10.1109/MC.2004.1260732 DO - 10.1109/MC.2004.1260732 ID - Andrews2004 ER - TY - CHAP AU - David, J. -. P. AU - Legat, J. -. D. PY - 1998 DA - 1998// TI - A data-flow oriented co-design for reconfigurable systems BT - Proceedings of the 9th International Workshop on Rapid System Prototyping ID - David1998 ER - TY - JOUR AU - Rinker, R. AU - Carter, M. AU - Patel, A. PY - 2001 DA - 2001// TI - An automated process for compiling dataflow graphics into reconfigurable hardware JO - IEEE Transactions on Very Large Scale Integration (VLSI) Systems VL - 9 UR - https://doi.org/10.1109/92.920828 DO - 10.1109/92.920828 ID - Rinker2001 ER - TY - CHAP AU - Mei, B. AU - Vernalde, S. AU - Verkest, D. AU - De Man, H. AU - Lauwereins, R. PY - 2002 DA - 2002// TI - DRESC: a retargetable compiler for coarse-grained reconfigurable architectures BT - Proceedings of IEEE International Conference on Field-Programmable Technology (FPT '02) ID - Mei2002 ER - TY - JOUR AU - Cardoso, J. M. P. PY - 2003 DA - 2003// TI - On combining temporal partitioning and sharing of functional units in compilation for reconfigurable architectures JO - IEEE Transactions on Computers VL - 52 UR - https://doi.org/10.1109/TC.2003.1234532 DO - 10.1109/TC.2003.1234532 ID - Cardoso2003 ER - TY - CHAP AU - Banerjee, S. AU - Bozorgzadeh, E. AU - Dutt, N. PY - 2005 DA - 2005// TI - Physically-aware HW-SW partitioning for reconfigurable architectures with partial dynamic reconfiguration BT - Proceedings of the 42nd Design Automation Conference (DAC '05) ID - Banerjee2005 ER - TY - JOUR AU - Bobda, C. AU - Ahmadinia, A. PY - 2005 DA - 2005// TI - Dynamic interconnection of reconfigurable modules on reconfigurable devices JO - IEEE Design and Test of Computers VL - 22 UR - https://doi.org/10.1109/MDT.2005.109 DO - 10.1109/MDT.2005.109 ID - Bobda2005 ER - TY - JOUR AU - Hutchings, B. AU - Nelson, B. PY - 1999 DA - 1999// TI - Developing and debugging FPGA applications in hardware with JHDL JO - Proceedings of 33rd Asilomar Conference on Signals, Systems and Computers VL - 1 ID - Hutchings1999 ER - TY - CHAP AU - Tomko, K. A. AU - Tiwari, A. PY - 2000 DA - 2000// TI - Hardware/software co-debugging for reconfigurable computing BT - Proceedings of the 5th IEEE International High-Level Design, Validation, and Test Workshop (HLDVT '00) ID - Tomko2000 ER - TY - CHAP AU - Rissa, T. AU - Luk, W. AU - Cheung, P. Y. K. PY - 2004 DA - 2004// TI - Automated combination of simulation and hardware prototyping BT - Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '04) ID - Rissa2004 ER - TY - JOUR AU - Talavera, G. AU - Nollet, V. AU - Mignolet, J. -. Y. PY - 2004 DA - 2004// TI - Hardware-software debugging techniques for reconfigurable systems-on-chip JO - Proceedings of the IEEE International Conference on Industrial Technology (ICIT '04) VL - 3 ID - Talavera2004 ER - TY - CHAP AU - Jin, Y. AU - Satish, N. AU - Ravindran, K. AU - Keutzer, K. PY - 2005 DA - 2005// TI - An automated exploration framework for FPGA-based soft multiprocessor systems BT - Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '05) ID - Jin2005 ER - TY - CHAP AU - Yiannacouras, P. AU - Steffan, J. G. AU - Rose, J. PY - 2006 DA - 2006// TI - Application-specific customization of soft processor microarchitecture BT - Proceedings of ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (FPGA '06) ID - Yiannacouras2006 ER - TY - JOUR AU - Gonzalez, R. E. PY - 2000 DA - 2000// TI - Xtensa: a configurable and extensible processor JO - IEEE Micro VL - 20 UR - https://doi.org/10.1109/40.848473 DO - 10.1109/40.848473 ID - Gonzalez2000 ER - TY - CHAP AU - Yan, A. AU - Wilton, S. J. E. PY - 2004 DA - 2004// TI - Sequential synthesizable embedded programmable logic cores for system-on-chip BT - Proceedings of the IEEE Custom Integrated Circuits Conference (CICC '04) ID - Yan2004 ER - TY - STD TI - Hauck S, Compton K, Eguro K, Holland M, Philips S, Sharma A: Totem: domain-specific reconfigurable logic. to appear in IEEE Transactions on Very Large Scale Integration (VLSI) Systems ID - ref203 ER - TY - CHAP AU - Kuon, I. AU - Egier, A. AU - Rose, J. PY - 2005 DA - 2005// TI - Design, layout and verification of an FPGA using automated tools BT - Proceedings of the ACM/SIGDA 13th International Symposium on Field-Programmable Gate Arrays (FPGA '05) ID - Kuon2005 ER -