TY - JOUR AU - Khailany, B. AU - Dally, W. J. AU - Kapasi, U. J. PY - 2001 DA - 2001// TI - Imagine: media processing with streams JO - IEEE Micro VL - 21 UR - https://doi.org/10.1109/40.918001 DO - 10.1109/40.918001 ID - Khailany2001 ER - TY - CHAP AU - Fisher, C. AU - Rennie, K. AU - Xing, G. PY - 2001 DA - 2001// TI - Emulator for exploring RaPiD configurable computing architectures. BT - Proceedings of the 11th International Conference on Field-Programmable Logic and Applications UR - https://doi.org/10.1007/3-540-44687-7_3 DO - 10.1007/3-540-44687-7_3 ID - Fisher2001 ER - TY - CHAP AU - Mirsky, E. AU - DeHon, A. PY - 1996 DA - 1996// TI - MATRIX: a reconfigurable computing architecture with configurable instruction distribution and deployable resources. BT - Proceedings of IEEE Symposium on FPGAs for Custom Computing Machines UR - https://doi.org/10.1109/FPGA.1996.564808 DO - 10.1109/FPGA.1996.564808 ID - Mirsky1996 ER - TY - CHAP AU - Schmit, H. AU - Whelihan, D. AU - Tsai, A. AU - Moe, M. AU - Levine, B. AU - Taylor, R. R. PY - 2002 DA - 2002// TI - PipeRench: a virtualized programmable datapath in 0.18 micron technology. BT - Proceedings of the Custom Integrated Circuits Conference ID - Schmit2002 ER - TY - JOUR AU - Singh, H. AU - Lee, M. -. H. AU - Lu, G. AU - Kurdahi, F. J. AU - Bagherzadeh, N. AU - Filho, E. C. PY - 2000 DA - 2000// TI - MorphoSys: an integrated reconfigurable system for data-parallel and computation-intensive applications JO - IEEE Transactions on Computers VL - 49 UR - https://doi.org/10.1109/12.859540 DO - 10.1109/12.859540 ID - Singh2000 ER - TY - JOUR AU - Taylor, M. B. AU - Kim, J. AU - Miller, J. PY - 2002 DA - 2002// TI - The RAW microprocessor: a computational fabric for software circuits and general-purpose programs JO - IEEE Micro VL - 22 UR - https://doi.org/10.1109/MM.2002.997877 DO - 10.1109/MM.2002.997877 ID - Taylor2002 ER - TY - CHAP AU - Marshall, T. AU - Stansfield, L. AU - Vuillemin, J. AU - Hutchings, B. PY - 1999 DA - 1999// TI - A reconfigurable arithmetic array for multimedia applications. BT - Proceedings of the ACM/SIGDA 7th International Symposium on Field Programmable Gate Arrays ID - Marshall1999 ER - TY - STD TI - Xilinx http://www.xilinx.com/products/virtex4/index.htm UR - http://www.xilinx.com/products/virtex4/index.htm ID - ref8 ER - TY - STD TI - Xilinx http://www.xilinx.com/products/virtex5/index.htm UR - http://www.xilinx.com/products/virtex5/index.htm ID - ref9 ER - TY - STD TI - Altera http://www.altera.com/products/devices/stratix2/st2-index.jsp UR - http://www.altera.com/products/devices/stratix2/st2-index.jsp ID - ref10 ER - TY - JOUR AU - Kuon, I. AU - Rose, J. PY - 2007 DA - 2007// TI - Measuring the gap between FPGAs and ASICs JO - IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems VL - 26 UR - https://doi.org/10.1109/TCAD.2006.884574 DO - 10.1109/TCAD.2006.884574 ID - Kuon2007 ER - TY - CHAP AU - Hartenstein, R. PY - 2001 DA - 2001// TI - A decade of reconfigurable computing: a visionary retrospective. BT - Proceedings of IEEE Conference and Exhibition on Design, Automation and Test in Europe ID - Hartenstein2001 ER - TY - CHAP AU - Becker, J. AU - Vorbach, M. PY - 2003 DA - 2003// TI - Architecture, memory and interface technology integration of an industrial/academic configurable system-on-chip (CSoC). BT - Proceedings of the IEEE Computer Society Annual Symposium on VLSI ID - Becker2003 ER - TY - JOUR AU - DeHon, A. AU - Markovsky, Y. AU - Caspi, E. PY - 2006 DA - 2006// TI - Stream computations organized for reconfigurable execution JO - Microprocessors and Microsystems VL - 30 UR - https://doi.org/10.1016/j.micpro.2006.02.009 DO - 10.1016/j.micpro.2006.02.009 ID - DeHon2006 ER - TY - JOUR AU - Kim, Y. AU - Kiemb, M. AU - Park, C. AU - Jung, J. AU - Choi, K. PY - 2005 DA - 2005// TI - Resource sharing and pipelining in coarse-grained reconfigurable architecture for domain-specific optimization JO - Proceedings of Design, Automation and Test in Europe (DATE '05) VL - 1 ID - Kim2005 ER - TY - CHAP AU - Zawodny, J. AU - Kogge, P. PY - 2001 DA - 2001// TI - Cache-in-memory BT - Innovative Architecture for Future Generation High-Performance Processors and Systems ID - Zawodny2001 ER - TY - BOOK AU - Draper, J. AU - Sondeen, J. AU - Mediratta, S. AU - Kim, I. PY - 2005 DA - 2005// TI - Proceedings of the IEEE Low Power Electronics and Design ID - Draper2005 ER - TY - CHAP AU - Lanuzza, M. AU - Margala, M. AU - Corsonello, P. PY - 2005 DA - 2005// TI - Cost-effective low-power processor-in-memory-based reconfigurable datapath for multimedia applications. BT - Proceedings of the International Symposium on Low Power Electronics and Design ID - Lanuzza2005 ER - TY - CHAP AU - Khawam, S. AU - Arslan, T. AU - Westall, F. PY - 2004 DA - 2004// TI - Synthesizable reconfigurable array targeting distributed arithmetic for system-on-chip applications. BT - Proceedings of the 18th International Parallel and Distributed Processing Symposium (IPDPS '04) ID - Khawam2004 ER - TY - CHAP AU - Bouwens, F. AU - Berekovic, M. AU - Kanstein, A. AU - Gaydadjiev, G. PY - 2007 DA - 2007// TI - Architecture exploration of the ADRES coarse-grained reconfigurable array BT - Springer Reconfigurable Computing: Architectures, Tools and Applications UR - https://doi.org/10.1007/978-3-540-71431-6_1 DO - 10.1007/978-3-540-71431-6_1 ID - Bouwens2007 ER - TY - STD TI - Smit LT, Rauwerda GK, Molderink A, Wolkotte PT, Smit GJM:Implementation of a 2-DIDCT on the reconfigurable Montium core.Proceedings of International Conference on Field Programmable Logic and Applications (FPL '07), 2007 562-566. ID - ref21 ER - TY - CHAP AU - Balfour, J. AU - Dally, W. J. PY - 2006 DA - 2006// TI - Design tradeoffs for tiled CMP on-chip networks BT - Proceedings of the 20th International Conference on Supercomputing ID - Balfour2006 ER - TY - BOOK AU - Cannon, L. PY - 1969 DA - 1969// TI - A cellular computer to implement the kalman filter algorithm, Ph.D. thesis PB - Montana State University CY - Bozeman, Mont, USA ID - Cannon1969 ER - TY - JOUR AU - Strassen, V. PY - 1969 DA - 1969// TI - Gaussian elimination is not optimal JO - Numerische Mathematik VL - 13 UR - https://doi.org/10.1007/BF02165411 DO - 10.1007/BF02165411 ID - Strassen1969 ER - TY - BOOK AU - Kang, S. M. AU - Leblebici, Y. PY - 2002 DA - 2002// TI - CMOS Digital Integrated Circuits Analysis and Design PB - McGraw-Hill CY - New York, NY, USA ID - Kang2002 ER - TY - CHAP AU - Heysters, P. M. AU - Smit, G. J. M. AU - Molenkamp, E. PY - 2004 DA - 2004// TI - Energy-efficiency of the Montium reconfigurable tile processor. BT - Proceedings of the International Conference on Engineering of Reconfigurable Systems and Algorithms (ERSA '04) ID - Heysters2004 ER - TY - CHAP AU - Cronquist, D. AU - Fisher, C. AU - Figueroa, M. AU - Franklin, P. AU - Ebeling, C. PY - 1999 DA - 1999// TI - Architecture design of reconfigurable pipelined datapaths BT - Proceedings of the 20th Anniversary Conference on Advanced Research in VLSI UR - https://doi.org/10.1109/ARVLSI.1999.756035 DO - 10.1109/ARVLSI.1999.756035 ID - Cronquist1999 ER -