Skip to main content
  • Research Article
  • Open access
  • Published:

FPGA Dynamic Power Minimization through Placement and Routing Constraints

Abstract

Field-programmable gate arrays (FPGAs) are pervasive in embedded systems requiring low-power utilization. A novel power optimization methodology for reducing the dynamic power consumed by the routing of FPGA circuits by modifying the constraints applied to existing commercial tool sets is presented. The power optimization techniques influence commercial FPGA Place and Route (PAR) tools by translating power goals into standard throughput and placement-based constraints. The Low-Power Intelligent Tool Environment (LITE) is presented, which was developed to support the experimentation of power models and power optimization algorithms. The generated constraints seek to implement one of four power optimization approaches: slack minimization, clock tree paring, N-terminal net colocation, and area minimization. In an experimental study, we optimize dynamic power of circuits mapped into 0.12 μ m Xilinx Virtex-II FPGAs. Results show that several optimization algorithms can be combined on a single design, and power is reduced by up to 19.4%, with an average power savings of 10.2%.

[1 2 3 4 5 6 7 8 9 10 11]

References

  1. Anderson JH, Najm FN, Tuan T: Active leakage power optimization for FPGAs. Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA '04), February 2004, Monterey, Calif, USA 12: 33-41.

    Google Scholar 

  2. French M: A power efficient image convolution engine for field programmable gate arrays. 7th Annual International Conference on Military and Aerospace Programmable Logic Devices (MAPLD '04), September 2004, Washington, DC, USA

    Google Scholar 

  3. Anderson JH, Najm FN: A novel low-power FPGA routing switch. Proceedings of the IEEE Custom Integrated Circuits Conference (CICC '04), October 2004, Orlando, Fla, USA 719-722.

    Google Scholar 

  4. Kusse E, Rabaey J: Low-energy embedded FPGA structures. Proceedings of the International Symposium on Low Power Electronics and Design, August 1998, Monterey, Calif, USA 155-160.

    Google Scholar 

  5. Anderson JH, Najm FN: Power-aware technology mapping for LUT-based FPGAs. IEEE International Conference on Field-Programmable Technology (FPT '02), December 2002, Hong Kong 211-218.

    Google Scholar 

  6. Rollins N, Wirthlin MJ: Reducing energy in FPGA multipliers through glitch reduction. 7th Annual International Conference on Military Applications of Programmable Logic Devices (MAPLD '05), September 2005, Washington, DC, USA

    Google Scholar 

  7. Lamoureux J, Wilton SJE: On the interaction between power-aware FPGA CAD algorithms. IEEE/ACM International Conference on Computer-Aided Design (ICCAD '03), November 2003, San Jose, Calif, USA 701-708.

    Google Scholar 

  8. Shang L, Kaviani AS, Bathala K: Dynamic power consumption in virtex-II FPGA family. Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA '02), February 2002, Monterey, Calif, USA 157-164.

    Google Scholar 

  9. Virtex-II Platform FPGAs: Complete Data Sheet http://www.xilinx.com

  10. Xilinx ISE Software Manual http://www.xilinx.com

  11. French M, Wang L, Anderson T, Wirthlin M: Post synthesis level power modeling of FPGAs. IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM '05), April 2005, Napa, Calif, USA 281-282.

    Chapter  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Li Wang.

Rights and permissions

Open Access This article is distributed under the terms of the Creative Commons Attribution 2.0 International License ( https://creativecommons.org/licenses/by/2.0 ), which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited.

Reprints and permissions

About this article

Cite this article

Wang, L., French, M., Davoodi, A. et al. FPGA Dynamic Power Minimization through Placement and Routing Constraints. J Embedded Systems 2006, 031605 (2006). https://doi.org/10.1155/ES/2006/31605

Download citation

  • Received:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1155/ES/2006/31605

Keywords