Skip to main content
  • Research Article
  • Open access
  • Published:

System-Platforms-Based SystemC TLM Design of Image Processing Chains for Embedded Applications

Abstract

Intelligent vehicle design is a complex task which requires multidomains modeling and abstraction. Transaction-level modeling (TLM) and component-based software development approaches accelerate the process of an embedded system design and simulation and hence improve the overall productivity. On the other hand, system-level design languages facilitate the fast hardware synthesis at behavioral level of abstraction. In this paper, we introduce an approach for hardware/software codesign of image processing applications targeted towards intelligent vehicle that uses platform-based SystemC TLM and component-based software design approaches along with HW synthesis using SystemC to accelerate system design and verification process. Our experiments show the effectiveness of our methodology.

[1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43]

References

  1. Bucher T, Curio C, Edelbrunner J, et al.: Image processing and behavior planning for intelligent vehicles. IEEE Transactions on Industrial Electronics 2003,50(1):62-75. 10.1109/TIE.2002.807650

    Article  Google Scholar 

  2. Li L, Song J, Wang F-Y, Niehsen W, Zheng N-N: IVS 05: new developments and research trends for intelligent vehicles. IEEE Intelligent Systems 2005,20(4):10-14. 10.1109/MIS.2005.73

    Article  Google Scholar 

  3. McCall JC, Trivedi MM: Video-based lane estimation and tracking for driver assistance: survey, system, and evaluation. IEEE Transactions on Intelligent Transportation Systems 2006,7(1):20-37. 10.1109/TITS.2006.869595

    Article  Google Scholar 

  4. Girard AP, Spry S, Hedrick JK: Intelligent cruise-control applications: real-time, embedded hybrid control software. IEEE Robotics & Automation Magazine 2005,12(1):22-28. 10.1109/MRA.2005.1411415

    Article  Google Scholar 

  5. van der Mark W, Gavrila DM: Real-time dense stereo for intelligent vehicles. IEEE Transactions on Intelligent Transportation Systems 2006,7(1):38-50. 10.1109/TITS.2006.869625

    Article  Google Scholar 

  6. Müller-Glaser KD, Frick G, Sax E, Kühl M: Multiparadigm modeling in embedded systems design. IEEE Transactions on Control Systems Technology 2004,12(2):279-292. 10.1109/TCST.2004.824340

    Article  Google Scholar 

  7. Freescale Semiconductors http://www.freescale.com/

  8. Ghenassia F (Ed): Transaction-Level Modeling with SystemC: TLM Concepts and Applications for Embedded Systems. 1st edition. Springer, New York, NY, USA; 2006.

    Google Scholar 

  9. Cai L, Gajski D: Transaction level modeling: an overview. Proceedings of the 1st IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS '03), October 2003, Newport Beach, Calif, USA 19-24.

    Google Scholar 

  10. Calazans N, Moreno E, Hessel F, Rosa V, Moraes F, Carara E: From VHDL register transfer level to SystemC transaction level modeling: a comparative case study. Proceedings of the 16th Symposium on Integrated Circuits and Systems Design (SBCCI '03), September 2003, Sao Paulo, Brazil 355-360.

    Google Scholar 

  11. Synopsys : Behavioral Compiler User Guide. Version 2003.10, 2003

  12. Agility http://www.celoxica.com/products/agility/default.asp

  13. Capdevielle O, Dalle P: Image processing chain construction by interactive goal specification. Proceedings of the 1st IEEE International Conference Image Processing (ICIP '94), November 1994, Austin, Tex, USA 3: 816-820.

    Article  Google Scholar 

  14. Abchiche Y, Dalle P, Magnien Y: Adaptative Concept Building by Image Processing Entity Structuration. Institut de Recherche en Informatique de Toulouse IRIT, Université Paul Sabatier

  15. France RB, Ghosh S, Dinh-Trong T, Solberg A: Model-driven development using UML 2.0: promises and pitfalls. Computer 2006,39(2):59-66. 10.1109/MC.2006.65

    Article  Google Scholar 

  16. Accord/UML http://www-list.cea.fr/labos/fr/LLSP/accord_uml/AccordUML_presentation.htm

  17. ProMARTE http://www.promarte.org/

  18. Protes project http://www.carroll-research.org/

  19. Jouvray C, Gérard S, Terrier F, Bouaziz S, Reynaud R: UML methodology for smart transducer integration in real-time embedded systems. Proceedings of IEEE Intelligent Vehicles Symposium, June 2005, Las Vegas, Nev, USA 688-693.

    Google Scholar 

  20. Gérard S, Mraidha C, Terrier F, Baudry B: A UML-based concept for high concurrency: the real-time object. Proceedings of the 7th IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC '04), May 2004, Vienna, Austria 64-67.

    Google Scholar 

  21. Saíedian H, Raguraman S: Using UML-based rate monotonic analysis to predict schedulability. Computer 2004,37(10):56-63. 10.1109/MC.2004.183

    Article  Google Scholar 

  22. Dekeyser J-L, Boulet P, Marquet P, Meftali S: Model driven engineering for SoC co-design. Proceedings of the 3rd International IEEE Northeast Workshop on Circuits and Systems Conference (NEWCAS '05), June 2005, Quebec City, Canada 21-25.

    Google Scholar 

  23. Xi C, Hua LJ, ZuCheng Z, YaoHui S: Modeling SystemC design in UML and automatic code generation. Proceedings of the 11th Asia and South Pacific Design Automation Conference (ASP-DAC '05), January 2005, Yokohama, Japan 2: 932-935.

    Google Scholar 

  24. Kreku J, Eteläperä M, Soininen J-P: Exploitation oF UML 2.0—based platform service model and systemC workload simulation in MPEG-4 partitioning. Proceedings of the International Symposium on System-on-Chip (SOC '05), November 2005, Tampere, Finland 167-170.

    Google Scholar 

  25. Riccobene E, Scandurra P, Rosti A, Bocchio S: A SoC design methodology involving a UML 2.0 profile for SystemC. Proceedings of the Design, Automation & Test in Europe Conference (DATE '05), March 2005, Munich, Germany 2: 704-709.

    Article  Google Scholar 

  26. Zhu Y, Sun Z, Wong W-F, Maxiaguine A: Using UML 2.0 for system level design of real time SoC platforms for stream processing. Proceedings of the 11th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, August 2005, Hong Kong 154-159.

    Google Scholar 

  27. Nguyen KD, Sun Z, Thiagarajan PS, Wong W-F: Model-driven SoC design via executable UML to SystemC. Proceedings of the 25th IEEE International Real-Time Systems Symposium (RTSS '04), December 2004, Lisbon, Portugal 459-468.

    Chapter  Google Scholar 

  28. IBM CoreConnect http://www.ibm.com/

  29. IEEE 1666 Standard SystemC Language Reference Manual http://standards.ieee.org/getieee/1666/download/1666-2005.pdf

  30. MDA Guide Version 1.0.1 June 2003, OMG

  31. Chtourou S, Hammami O: SystemC space exploration of behavioral synthesis options on area, performance and power consumption. Proceedings of the 17th International Conference on Microelectronics (ICM '05), December 2005, Islamabad, Pakistan 67-71.

    Google Scholar 

  32. IBM PEK v1.0 http://www-128.ibm.com/developerworks/power/library/pa-pek/

  33. RiscWatch Debuggers User Guide 15th edition, IBM Number: 13H6964 000011, May 2003

  34. OSCI SystemC Transaction-Level Modeling Working Group (TLMWG) http://www.systemc.org/web/sitedocs/technical_working_groups.html

  35. Press WH, Flannery BP, Teukolsky SA, Vetterling WT: Numerical Recipes: The Art of Scientific Computing. Cambridge University Press, Cambridge, UK; 1989.

    MATH  Google Scholar 

  36. Ben Mouhoub R, Hammami O: MOCDEX: multiprocessor on chip multiobjective design space exploration with direct execution. EURASIP Journal of Embedded Systems 2006,2006(1):14 pages.

    Article  Google Scholar 

  37. UML Profile for Autosar v1.0.1 http://www.autosar.org/

  38. Riccobene E, Scandurra P, Rosti A, Bocchio S: A model-driven design environment for embedded systems. Proceedings of the 43rd ACM/IEEE Design Automation Conference (DAC '06), July 2006, San Francisco, Calif, USA 915-918.

    Google Scholar 

  39. Orinoco Dale http://www.chipvision.com/company/index.php

  40. Hammami O, Wang Z: Automatic PIM to PSM Translation. submitted for publication

  41. Saponara S, Petri E, Tonarelli M, del Corona I, Fanucci L: FPGA-based networking systems for high data-rate and reliable in-vehicle communications. Proceedings of the Design, Automation & Test in Europe Conference (DATE '07), April 2007, Nice, France 1-6.

    Google Scholar 

  42. Claus C, Zeppenfeld J, Müller F, Stechele W: Using partial-run-time reconfigurable hardware to accelerate video processing in driver assistance system. Proceedings of the Design, Automation & Test in Europe Conference (DATE '07), April 2007, Nice, France 1-6.

    Google Scholar 

  43. Hammami O: Automatic Design Space Exploration of Automotive Electronics: The Case of AUTOSAR. submitted for publication

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Muhammad Omer Cheema.

Rights and permissions

Open Access This article is distributed under the terms of the Creative Commons Attribution 2.0 International License (https://creativecommons.org/licenses/by/2.0), which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited.

Reprints and permissions

About this article

Cite this article

Cheema, M.O., Lacassagne, L. & Hammami, O. System-Platforms-Based SystemC TLM Design of Image Processing Chains for Embedded Applications. J Embedded Systems 2007, 071043 (2007). https://doi.org/10.1155/2007/71043

Download citation

  • Received:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1155/2007/71043

Keywords